Welcome![Sign In][Sign Up]
Location:
Search - vhdl iic

Search list

[VHDL-FPGA-VerilogIICComponent

Description: IIC的vhdl实现,用ISE12.1建的项目,读取eeprom的接口代码-using FPGA to communicate with the EEPROM through IIC connector
Platform: | Size: 653312 | Author: 一笑 | Hits:

[VHDL-FPGA-Verilogoc_i2c_master

Description: IIC总线的控制VHDL源代码以及说明,在Quartus2中能够生成对应的IP核-the IIC bus control VHDL source code and ,Quartus2 can create the corresponding IP in nuclear
Platform: | Size: 207872 | Author: 陶文辉 | Hits:

[VHDL-FPGA-VerilogI2C_slave_module

Description: 实现IIC从的VHDL代码,实现外部摄像头的数据交互和控制-Achieve the IIC from the VHDL code that implements the external camera data exchange and control
Platform: | Size: 3072 | Author: 孔令术 | Hits:

[VHDL-FPGA-VerilogAltera_I2C_example

Description: IIC VHDL代码。标准的IIC 总线协议(VHDL)-IIC VHDL
Platform: | Size: 2523136 | Author: otto | Hits:

[source in ebookVHDL_exp

Description: VHDL程序包括IIC和PS2,LCD,USB-VHDL program includes IIC and PS2, the LCD, USB, etc.
Platform: | Size: 7214080 | Author: 雷涛 | Hits:

[OtherIIC_MasterDriver

Description: IIC主机控制vhdl源码,支持IIC普通和快速模式,多个fpga/cpld平台已验证-IIC host control source code,normal and fast mode support
Platform: | Size: 3072 | Author: 波× | Hits:

[VHDL-FPGA-Verilogverilogiic1121

Description: IIC的好程序,VHDL程序设计。有收藏价值。-iic
Platform: | Size: 475136 | Author: aat | Hits:

[VHDL-FPGA-VerilogAT24CXX

Description: 使用标准VHDL编写的I2C协议,用于AT24XX系列的EEPROM读写。-use VHDL language to implement IIC protocol, which is able to read or write eeprom.
Platform: | Size: 493568 | Author: 林铎 | Hits:

[OtherI2C

Description: 基于FPGA的IIC IP硬核设计 连接UC系统,用VHDL语言书写
Platform: | Size: 36864 | Author: 岳才奇 | Hits:

[VHDL-FPGA-Verilogi2c_to_gpio

Description: 以lattice的FPGA作为从机,使用VHDL,通过IIC扩展GPIO口。压缩包内也有IIC协议的pdf。我已测试通过。-IIC to GPIO
Platform: | Size: 996352 | Author: 张雅 | Hits:

[Windows Develop6990718

Description: iic总线控制器VHDL实现 -- VHDL Source Files i2c vhd -- top level file i2c_()
Platform: | Size: 687104 | Author: bywkcet | Hits:

[Com Portprwgrfsscollterminal

Description: iic总线控制器VHDL实现 -- VHDL Source Files i2c vhd -- top level file i2c_()
Platform: | Size: 687104 | Author: wzoppy | Hits:
« 1 2 3 4 5»

CodeBus www.codebus.net